Tech

Intel cans 20A process node


Surprising news about Intel continues to emerge with the chipmaker vowing to use an external foundry in place of its own 20A process to make the upcoming Arrow Lake processors, amid talk that Broadcom has rejected Intel’s 18A process as not ready for mass production.

The Santa Clara giant posted an update on its website claiming “continued momentum” for its 18A process node, saying it remains “on track for launch in 2025.” Intel expects to deliver the Panther Lake client and Clearwater Forest server chips using this technology next year.

Intel also said it is shifting engineering resources from Intel 20A earlier than expected. “With this decision, the Arrow Lake processor family will be built primarily using external partners and packaged by Intel Foundry,” wrote Ben Sell, VP of Technology Development.

Arrow Lake was expected to launch before the end of this year, possibly as early as October, and it is unclear whether this latest development will have any effect on the timing.

The external partner is likely to be Taiwanese silicon supremo TSMC, which is already making the compute tile die for the Lunar Lake Core Ultra 200V mobile processors that launched this week. This move was supposed to be a stopgap until Intel’s Foundry division was able to ramp up both the 20A and 18A processes, but it now appears the firm is ditching 20A instead, possibly as a cost-saving measure.

Chipzilla, however, put a positive spin on it, with Sell claiming: “one of the benefits of our early success on Intel 18A is that it enables us to shift engineering resources from Intel 20A earlier than expected as we near completion of our five-nodes-in-four-years plan.”

Focusing resources on Intel 18A will help the Silicon Valley megacorp to “optimize its engineering investments,” he added.

Yet reports emerged yesterday that Broadcom, which Intel is trying to court as a customer for its foundry biz, had tested wafers produced using the 18A node and rejected them, concluding the manufacturing process is not yet viable for high-volume production.

This would appear to contradict Intel’s announcement last month of key advances for its 18A process node, which included that both Panther Lake and Clearwater Forest silicon was “out of the fab” and had been powered up and operating systems booted.

It said at the time that both products were on track to start production in 2025, and the first external foundry customer was expected to tape out on Intel 18A in the first half of next year.

The Register has asked Intel to comment.

Broadcom is understood to be one of a dozen or so potential customers that Intel is in talks with to have silicon manufactured in its fabrication facilities. Intel CFO David Zinsner told an investor conference this week he expects the foundry biz to generate some revenue in 2026, with “meaningful” revenue from contract manufacturing work coming in 2027.

The latest development follows recent reports that Intel risks being delisted from the Dow Jones Industrial Average due to the plunge in its stock price, amid talk the chipmaker is planning drastic measures to reverse its losses this year.

These may include a spin-off of its foundry business, putting on hold plans to build new semiconductor fabrication plants, or selling off business units such as the Altera programmable logic division. This is in addition to chopping more than 16,000 staff and halting quarterly dividend payments to shareholders. ®



Source link

Back to top button